UVM Monitors

Monitors play a crucial role in UVM-based testbenches, collecting and transmitting data from the DUT (Design Under Test) interface to other components in the verification environment such as scoreboards and coverage collectors.

Role of Monitors

Monitors are responsible for sampling the DUT's interface signals and converting them into a format (often as transactions) that is understandable and usable by the other components in the testbench. They also check for protocol compliance, ensuring that the signals conform to the expected protocol.

Working with Monitors

In a typical UVM testbench, monitors are connected to the DUT interfaces. They sample the interface signals and convert them into transaction objects. These transaction objects are then sent via Transaction Level Modeling (TLM) interfaces to other components such as scoreboards and coverage collectors.

Monitor Implementation

A monitor is typically implemented as a UVM component and uses TLM analysis ports to send transactions to other components. The monitor has no knowledge of what these components do with the transactions; it merely sends the transactions out and leaves it up to the receiving components to process the transactions.

In the following section, we will explore how to use UVM sequences to generate stimulus for your DUT.

Last updated

Logo

Copyright Verification Studio 2023