UVM Transactions and Sequences

In UVM, transactions encapsulate a data transfer or a sequence of operations on the DUT. Transactions are classes that can be created, modified, and sent from the sequencer to the driver.

Sequences, on the other hand, are collections of transactions that provide stimuli to the DUT. They are programmable, allowing for complex scenarios to be modeled and controlled. Sequences can be randomized and layered, providing a powerful mechanism for stimulus generation.

Creating Transactions

Transactions in UVM are typically created as classes derived from the uvm_sequence_item base class. They encapsulate the data and control information to be transferred from the sequencer to the driver.

Building Sequences

Sequences are built using transactions. They are derived from the uvm_sequence base class and instruct the driver on what actions to take and when.

Last updated

Logo

Copyright Verification Studio 2023