UVM Scoreboard

A UVM scoreboard is a key component in a UVM testbench, used for end-to-end checking of data flow and functionality within a DUT (Design Under Test).

Role of Scoreboard

The primary role of the UVM scoreboard is to check that the output from the DUT is as expected, based on the input transactions and the expected behavior of the DUT. In other words, it validates that the DUT is functioning correctly.

Working with Scoreboard

In a typical UVM testbench, the UVM scoreboard is connected to the output of one or more monitors, and it maintains a copy of the input transactions for comparison with the output transactions.

When the UVM monitor observes a transaction on the DUT interface, it sends a copy of this transaction to the UVM scoreboard. The scoreboard then compares the incoming transactions with the expected transactions (which can be generated based on the input transactions or supplied by a reference model), and raises an error if there is a mismatch.

Scoreboard Implementation

A scoreboard is typically implemented as a UVM component and uses TLM ports or exports to receive transactions from monitors. A scoreboard can be as simple as a transaction-level comparator, or it can incorporate complex prediction logic or a reference model to predict the expected output transactions.

Last updated

Logo

Copyright Verification Studio 2023