Functional Coverage

Functional coverage is a metric used in UVM to measure the extent to which the functionality of the DUT has been exercised by the testbench. It helps to identify gaps in the verification effort and guides the development of additional tests to cover those gaps.

Coverage Models

In UVM, a coverage model is a user-defined class that captures and records coverage data. It typically includes covergroups and coverpoints that represent specific functionality that should be covered.

Covergroups and Coverpoints

A covergroup is a collection of coverpoints, which represent specific conditions or sets of values that should be covered. Each coverpoint has a set of bins that record the occurrence of specific values.

Sampling and Analysis

Coverage is sampled during simulation, typically in response to specific events in the testbench. After simulation, the collected coverage data can be analyzed to identify gaps in the verification effort.

In the following sections, we'll delve into even more advanced topics, such as virtual sequences and interfaces, layered sequences, and the use of the UVM Register Layer for register-level verification.

Last updated

Logo

Copyright Verification Studio 2023