Constraint Random Verification

Constraint Random Verification (CRV) is a powerful technique in UVM that leverages randomization with constraints to generate a wide range of scenarios for the Design Under Test (DUT). This helps to expose corner cases that might not be covered by directed tests.

Randomization in UVM

In UVM, randomization is a built-in feature that allows the values of class properties to be randomized within defined limits. The randomize() function is used to randomize the properties of an object.

Constraints

Constraints are user-defined rules that limit the possible values that can be assigned to class properties during randomization. Constraints help ensure that the randomized values meet certain criteria required by the test.

Random Sequence Generation

Random sequences can be generated by randomizing sequence items and creating complex scenarios. This helps to verify the DUT in diverse and unpredictable scenarios, leading to a more thorough verification.

Last updated

Logo

Copyright Verification Studio 2023